Jump to content

VP UNFREEZE


abcdef1234

Recommended Posts

;VPFL - VP Freeze Layer
;(discussion forum routine, modified by XANADU)
;
(defun c:VZ ( / ent cLayer pLayer)
(setq ent (car(entsel "\nSelect object to VP-freeze layer: ")))
(setq cLayer (getvar "clayer"))
(setq pLayer (cdr (assoc 8 (entget ent))))
(if (= pLayer cLayer)
 (command "_.layer" "_s" "0" "_off" pLayer "")
 (command "_.vplayer" "_f" pLayer "_c" "")
)
(prompt (strcat "Layer " pLayer " frozen in current viewport"))
(princ)
)


 

 

 

using vp freeze lisp

 

i want to unfreeze lisp in viewport

Edited by SLW210
Added Code Tags
Link to comment
Share on other sites

Thaw, that's the opposite of freeze.

 

;; unfreeze layer in Viewport
(defun c:ulvp ( / lay)
    (setq lay (getstring "\nLayer to unfreeze: "))
    (command "_VPLAYER" "Thaw" lay "Current" "")
)

 

Link to comment
Share on other sites

Join the conversation

You can post now and register later. If you have an account, sign in now to post with your account.
Note: Your post will require moderator approval before it will be visible.

Guest
Unfortunately, your content contains terms that we do not allow. Please edit your content to remove the highlighted words below.
Reply to this topic...

×   Pasted as rich text.   Restore formatting

  Only 75 emoji are allowed.

×   Your link has been automatically embedded.   Display as a link instead

×   Your previous content has been restored.   Clear editor

×   You cannot paste images directly. Upload or insert images from URL.

×
×
  • Create New...